Communities

Writing
Writing
Codidact Meta
Codidact Meta
The Great Outdoors
The Great Outdoors
Photography & Video
Photography & Video
Scientific Speculation
Scientific Speculation
Cooking
Cooking
Electrical Engineering
Electrical Engineering
Judaism
Judaism
Languages & Linguistics
Languages & Linguistics
Software Development
Software Development
Mathematics
Mathematics
Christianity
Christianity
Code Golf
Code Golf
Music
Music
Physics
Physics
Linux Systems
Linux Systems
Power Users
Power Users
Tabletop RPGs
Tabletop RPGs
Community Proposals
Community Proposals
tag:snake search within a tag
answers:0 unanswered questions
user:xxxx search by author id
score:0.5 posts with 0.5+ score
"snake oil" exact phrase
votes:4 posts with 4+ votes
created:<1w created < 1 week ago
post_type:xxxx type of post
Search help
Notifications
Mark all as read See all your notifications »
Q&A

Post History

50%
+0 −0
Q&A Is it possible to design an n-bit full adder using SystemVerilog?

module full_adder #(parameter WIDTH = 4) (input logic [WIDTH - 1: 0] a, b, input logic carry_in, output logic carry_out, output logic [WIDTH - 1: ...

posted 3y ago by System‭  ·  edited 3y ago by System‭

Answer
#3: Post edited by (deleted user) · 2021-02-23T13:20:15Z (about 3 years ago)
  • ```
  • module full_adder
  • #(parameter WIDTH = 4)
  • (input logic [WIDTH - 1: 0] a,
  • b,
  • input logic carry_in,
  • output logic carry_out,
  • output logic [WIDTH - 1: 0] sum);
  • assign {carry_out, sum} = a + b + carry_in;
  • endmodule
  • ```
  • The above design is fully parameterised. Full adders of any positive integral widths can be obtained by changing the value of the `WIDTH` parameter.
  • ```
  • module full_adder
  • #(parameter WIDTH = 4)
  • (input logic [WIDTH - 1: 0] a,
  • b,
  • input logic carry_in,
  • output logic carry_out,
  • output logic [WIDTH - 1: 0] sum);
  • assign {carry_out, sum} = a + b + carry_in;
  • endmodule
  • ```
  • The above design is parameterised, so full adders of any positive integral widths can be obtained by changing the value of the `WIDTH` parameter.
#2: Post edited by (deleted user) · 2021-02-23T13:00:01Z (about 3 years ago)
  • ```
  • module full_adder
  • #(parameter WIDTH = 4)
  • (input logic [WIDTH - 1: 0] a,
  • b,
  • input logic carry_in,
  • output logic carry_out,
  • output logic [WIDTH - 1: 0] sum);
  • assign {carry_out, sum} = a + b;
  • endmodule
  • ```
  • The above design is fully parameterised. Full adders of any positive integral widths can be obtained by changing the value of the `WIDTH` parameter.
  • ```
  • module full_adder
  • #(parameter WIDTH = 4)
  • (input logic [WIDTH - 1: 0] a,
  • b,
  • input logic carry_in,
  • output logic carry_out,
  • output logic [WIDTH - 1: 0] sum);
  • assign {carry_out, sum} = a + b + carry_in;
  • endmodule
  • ```
  • The above design is fully parameterised. Full adders of any positive integral widths can be obtained by changing the value of the `WIDTH` parameter.
#1: Initial revision by (deleted user) · 2021-02-23T12:51:02Z (about 3 years ago)
```
module full_adder
  #(parameter WIDTH = 4)
  (input logic [WIDTH - 1: 0] a, 
                              b, 
   input logic carry_in,
   output logic carry_out,
   output logic [WIDTH - 1: 0] sum);

assign {carry_out, sum} = a + b;

endmodule
```
The above design is fully parameterised. Full adders of any positive integral widths can be obtained by changing the value of the `WIDTH` parameter.