Communities

Writing
Writing
Codidact Meta
Codidact Meta
The Great Outdoors
The Great Outdoors
Photography & Video
Photography & Video
Scientific Speculation
Scientific Speculation
Cooking
Cooking
Electrical Engineering
Electrical Engineering
Judaism
Judaism
Languages & Linguistics
Languages & Linguistics
Software Development
Software Development
Mathematics
Mathematics
Christianity
Christianity
Code Golf
Code Golf
Music
Music
Physics
Physics
Linux Systems
Linux Systems
Power Users
Power Users
Tabletop RPGs
Tabletop RPGs
Community Proposals
Community Proposals
tag:snake search within a tag
answers:0 unanswered questions
user:xxxx search by author id
score:0.5 posts with 0.5+ score
"snake oil" exact phrase
votes:4 posts with 4+ votes
created:<1w created < 1 week ago
post_type:xxxx type of post
Search help
Notifications
Mark all as read See all your notifications »

Activity for System‭

Type On... Excerpt Status Date
Answer A: Is it possible to design an n-bit full adder using SystemVerilog?
``` module fulladder #(parameter WIDTH = 4) (input logic [WIDTH - 1: 0] a, b, input logic carryin, output logic carryout, output logic [WIDTH - 1: 0] sum); assign {carryout, sum} = a + b + carryin; endmodule ``` The above design is parameter...
(more)
about 3 years ago
Question Is it possible to design an n-bit full adder using SystemVerilog?
I am trying to design a full adder in SystemVerilog. I searched on Wikipedia and I found this https://en.wikibooks.org/w/index.php?title=MicroprocessorDesign/AddandSubtractBlocks ``` module fulladder(a, b, cin, cout, s); input a, b, cin; output cout, s; wire temp; temp = a ^ b...
(more)
about 3 years ago
Edit Post #276767 Initial revision almost 4 years ago
Answer - Categories - Papers
This page describes the Papers category. Categories are briefly described HERE. Posts in the Papers category are meant to be one-directional writeups presenting useful information to the world, much like research papers published in scientific journals. Only one post can be written per paper. O...
(more)
almost 4 years ago
Edit Post #276765 Initial revision almost 4 years ago
Answer Categories
Note that there are three distinct clickable links in the colored banner at the top of the page: Q&A, Papers, and Meta. These are referred to as "categories" by the site software. Each category is a container for pages. The different containers are for posts with different purposes, and also have ...
(more)
almost 4 years ago
Answer - Answer scoring and ordering
Overview On Codidact, answers to questions are sorted by their scores, so that you&#39;ll see the best-received answers first. Each answer a score based on a ratio of upvotes to total votes, weighted to favor posts with fewer downvotes. For example, a post with seven upvotes will be shown higher tha...
(more)
almost 4 years ago
Answer So you're a moderator now
So you&#39;ve been given moderator status on a Codidact site. Welcome! This is what that means for you. Your community is your community Codidact communities are community-run. Codidact is here to support you and your community, but you make the decisions about how you run things yourselves. We&#39;l...
(more)
almost 4 years ago
Answer Codidact search options
While searching on a Codidact site, you have several options to help refine your search and make it easier to find what you're looking for. Searching will search all posts across that site, including Questions, Answers, Meta posts, and whatever other post types your site may have. Basic keyword se...
(more)
almost 4 years ago
Answer Guidelines for referencing and quoting on Codidact sites
When you&#39;re writing a post, referencing and quoting external material is a great way to back up your posts, provide sources, and increase the quality of what you&#39;re writing. There are, however, a few thing to keep in mind when quoting external material. Referencing online material When you&...
(more)
almost 4 years ago
Answer How to ask a great question
The best way to get a perfect answer to your questions is to ask a great question. The better your question is, the easier it will be for someone to be able to give you the answer you need. Here are a few things to keep in mind when asking questions. Make sure your question is in scope Every Codida...
(more)
almost 4 years ago
Answer Categories and post types
In addition to just Q&amp;A, here on Codidact each site can also add several different categories of posts. This is useful for different types of knowlege sharing, as well as for site activities and community building. These categories can contain different post types. Post types Currently, we have...
(more)
almost 4 years ago
Answer How to write good alt text
What is alt text and why should I care about it? Alt text, or an image description, is what shows up in place of an image when that image fails to load. It&#39;s also what a screen reader will read out when someone is using a screen reader to browse the site, as well as what search engines and feeds...
(more)
almost 4 years ago
Edit Post #275955 Initial revision almost 4 years ago
Answer - Formatting - special characters
In addition to general document formatting, the HTML special characters are also supported. These are triggered by the syntax &amp;xxx; where xxx is a short name for the specific special character. There are many many special characters defined in HTML. Consult one of the numerous HTML reference...
(more)
almost 4 years ago
Answer FAQ
See the site introduction for detailed information about this site. The moderators here are: Dave Tweed Nick Alexeev Olin Lathrop
(more)
almost 4 years ago
Edit Post #275902 Initial revision almost 4 years ago
Answer - Component ID questions
It can be acceptable to show a picture of something and ask what it is. However, there are some special guidelines to keep in mind when doing so: The photo must be clear. Electronic parts are often small. Your phone camera may not be able to get close enough to show necessary detail and stay ...
(more)
almost 4 years ago
Edit Post #275893 Initial revision almost 4 years ago
Answer - Allowed Topics - Arduinos
Everything in this section follows from the general rules of what's on topic. However, this is such a common case that we mention it explicitly. The point of Arduinos is to add layers of abstraction above a microcontroller so that you can get some results quickly without having to know what is re...
(more)
almost 4 years ago
Edit Post #275890 Initial revision almost 4 years ago
Answer Formatting posts
The most basic formatting is leaving a blank line to start a new paragraph. For short posts containing only words, this is usually all you need. However, more advanced formatting is available. Formatting can be done directly by adding HTML elements, and/or with Markdown. HTML The pages you s...
(more)
almost 4 years ago
Edit Post #275889 Initial revision almost 4 years ago
Answer Allowed topics
This site is about Electrical Engineering (opamps, microcontrollers, etc) and Electric Power Engineering (utility-scale power generation, transmission, etc). These names may be somewhat different around the world, but the concepts are the same. On-topic Most questions that arise in the course ...
(more)
almost 4 years ago
Edit Post #275880 Initial revision almost 4 years ago
Answer How to ask a good question
Questions were briefly mentioned in the general site introduction. This page gives more information and guidance. You should read it before posting your first question. Your question will be judged as if you have. We want questions that are: Well thought out Writing a good question takes ...
(more)
almost 4 years ago
Edit Post #275879 Initial revision almost 4 years ago
Answer Introduction, READ BEFORE POSTING
Welcome to the Codidact Electrical Engineering site. Here are a few things you need to be aware of to result in a good experience for you and the other users of this site. All users are expected to understand these points, and will be treated accordingly. You should read this page before posting...
(more)
almost 4 years ago